John Wiley Crossley

EECS Department, University of California, Berkeley

Technical Report No. UCB/EECS-2014-195

December 1, 2014

http://www2.eecs.berkeley.edu/Pubs/TechRpts/2014/EECS-2014-195.pdf

The recent trend in embedding multiple applications into a single System-on-Chip (SoC) has resulted in an increase in the number of Analog/Mixed-Signal (AMS) components integrated per die. Although the AMS components typically occupy a small fraction of the whole IC, they often require the longest design time because typical AMS design flows require substantial manual intervention from the designer throughout the design process. It would thus be desirable to automate the design of AMS circuits and foster their reuse across multiple SoCs and technology generations, to shorten time-to-market of new products and to free analog designers from performing repetitive tasks. In this thesis, we present the Berkeley Analog Generator (BAG) framework, an integrated framework for the development of generators of AMS circuits.

Generators are parameterized design procedures that produce sized schematics and correct layouts optimized to meet a set of input specifications. BAG extends previous work by implementing interfaces to integrate all steps of the design flow into a single environment and by providing helper classes – at the schematic and particularly at the layout level – to aid the designer in developing truly parameterized and technology-independent AMS circuit generators. The BAG framework simplifies and helps codify common tasks in the AMS design flow including technology characterization, schematic and testbench translation, simulator interfacing, physical verification and extraction, and layout creation. BAG addresses one of the most labor- intensive tasks, layout, by providing template-based extensible layout generators for different styles of circuits to help designers create their own parameterized layout generators. In order to demonstrate the completeness of the BAG framework, the development process of several generators for an integrated switched-capacitor (SC) regulator and its associated subcircuits are presented as a case study and the top level SC regulator generator is used to create three instances of a SC voltage regulator targeting different power densities, absolute output power, and aspect ratios.

Advisors: Elad Alon


BibTeX citation:

@phdthesis{Crossley:EECS-2014-195,
    Author= {Crossley, John Wiley},
    Title= {BAG: A Designer-Oriented Framework for the Development of AMS Circuit Generators},
    School= {EECS Department, University of California, Berkeley},
    Year= {2014},
    Month= {Dec},
    Url= {http://www2.eecs.berkeley.edu/Pubs/TechRpts/2014/EECS-2014-195.html},
    Number= {UCB/EECS-2014-195},
    Abstract= {The recent trend in embedding multiple applications into a single System-on-Chip (SoC) has resulted in an increase in the number of Analog/Mixed-Signal (AMS) components integrated per die. Although the AMS components typically occupy a small fraction of the whole IC, they often require the longest design time because typical AMS design flows require substantial manual intervention from the designer throughout the design process. It would thus be desirable to automate the design of AMS circuits and foster their reuse across multiple SoCs and technology generations, to shorten time-to-market of new products and to free analog designers from performing repetitive tasks. In this thesis, we present the Berkeley Analog Generator (BAG) framework, an integrated framework for the development of generators of AMS circuits.

Generators are parameterized design procedures that produce sized schematics and correct layouts optimized to meet a set of input specifications. BAG extends previous work by implementing interfaces to integrate all steps of the design flow into a single environment and by providing helper classes – at the schematic and particularly at the layout level – to aid the designer in developing truly parameterized and technology-independent AMS circuit generators. The BAG framework simplifies and helps codify common tasks in the AMS design flow including technology characterization, schematic and testbench translation, simulator interfacing, physical verification and extraction, and layout creation. BAG addresses one of the most labor- intensive tasks, layout, by providing template-based extensible layout generators for different styles of circuits to help designers create their own parameterized layout generators. In order to demonstrate the completeness of the BAG framework, the development process of several generators for an integrated switched-capacitor (SC) regulator and its associated subcircuits are presented as a case study and the top level SC regulator generator is used to create three instances of a SC voltage regulator targeting different power densities, absolute output power, and aspect ratios.},
}

EndNote citation:

%0 Thesis
%A Crossley, John Wiley 
%T BAG: A Designer-Oriented Framework for the Development of AMS Circuit Generators
%I EECS Department, University of California, Berkeley
%D 2014
%8 December 1
%@ UCB/EECS-2014-195
%U http://www2.eecs.berkeley.edu/Pubs/TechRpts/2014/EECS-2014-195.html
%F Crossley:EECS-2014-195