Juliet Alison Rubinstein

EECS Department, University of California, Berkeley

Technical Report No. UCB/EECS-2010-72

May 13, 2010

http://www2.eecs.berkeley.edu/Pubs/TechRpts/2010/EECS-2010-72.pdf

This dissertation extends the use of the pattern matcher, a fast-CAD tool developed by Gennari and Neureuther, for the identification of unintended effects in optical lithography. A more accurate through-focus physical model is incorporated, and the application of layout decomposition guidance for double patterning is demonstrated.

As motivation for why variability and yield are important, a study is presented in which a probabilistic distribution of transistor Critical Dimensions (CD) is generated given a focus-exposure joint distribution. An interpolation model for CD response surfaces produces a fast method for the analysis of various useful statistics.

MLTPs, derived as the inverse Fourier Transform of the Zernike polynomials, are the theoretically most sensitive patterns to lens aberrations. As well as input to the pattern matcher, MLTPs can also be etched onto a mask to function as aberration monitors. However, MLTPs are inherently very costly for mask manufacturing, due to round edges and touching phases. An automated method of monitor modification is presented, allowing the monitors to pass DRC checks with only minimal modifications.

Use of the pattern matcher on pre-OPC layouts admits the identification of problematic hot-spots earlier in the design flow. Several studies are presented on the effects of different OPC algorithms on match factors. In most cases, match factors do not vary significantly between the pre-OPC layout and the post-OPC layout, and the pre-OPC match factor is a good indicator for the sensitivity of the post-OPC layout area. However, in some circumstances, especially when SRAFs are present, the pre- and post-OPC match factors can vary by a larger amount. As a consequence, pattern matching should be used on post-OPC layouts to check for any created sensitivities.

Extensions of the pattern matcher are presented for high-NA and polarization vulnerabilities. This involves the generation of three to five match patterns, with a vulnerability score being calculated as a weighted sum of the match factors. The vulnerability score is found to be good predictor of vulnerability to high-NA and polarization vector effects. These effects are significant, causing intensity changes of 40% or 10% respectively for the on-axis case, and 8% for the off-axis case.

The accuracy of the pattern matcher is evaluated, and improved. A method for predicting edge movement through coma, rather than just change in intensity, takes the image slope into account and improves the R<sup>2</sup> from 0.73 to 0.95. The pattern matching model for defocus is improved with a quadratic model, using both the Optical Path Difference (<i>OPD</i>) and <i>OPD<sup>2</sup></i>, rather than just the linear term. Using the three match patterns, prediction of the change in intensity through focus improves from completely non-predictive to an R<sup>2</sup> value of 0.92. Results show that the Z<sub>3</sub> pattern and a combined Z<sub>0</sub> and Z<sub>8</sub>, pattern predict change in intensity through defocus at line ends with an R<sup>2</sup> of 0.96. These results are of great importance, as defocus is not a small aberration, and the ability to find defocus-induced hot-spots is of practical interest.

Double patterning is identified as an emerging technique that benefits from the application of pattern matching. A process flow is presented showing that pattern matching can add value both within the double patterning decomposition algorithm, and also on the post-decomposition layout. An example is shown where the introduction of a new split reduces the match factor by to 55%. When splitting an H-structure, a number of different splits are scanned by the pattern matcher, and the split with the lowest intensity change through defocus is correctly identified. Several examples show that the pattern matcher can quickly provide a measure of focus sensitivity during the layout decomposition process.

Advisors: Andrew R. Neureuther


BibTeX citation:

@phdthesis{Rubinstein:EECS-2010-72,
    Author= {Rubinstein, Juliet Alison},
    Title= {Pattern Matching for Advanced Lithographic Technologies},
    School= {EECS Department, University of California, Berkeley},
    Year= {2010},
    Month= {May},
    Url= {http://www2.eecs.berkeley.edu/Pubs/TechRpts/2010/EECS-2010-72.html},
    Number= {UCB/EECS-2010-72},
    Abstract= {This dissertation extends the use of the pattern matcher, a fast-CAD tool developed by Gennari and Neureuther, for the identification of unintended effects in optical lithography.  A more accurate through-focus physical model is incorporated, and the application of layout decomposition guidance for double patterning is demonstrated.  

As motivation for why variability and yield are important, a study is presented in which a probabilistic distribution of transistor Critical Dimensions (CD) is generated given a focus-exposure joint distribution.  An interpolation model for CD response surfaces produces a fast method for the analysis of various useful statistics.  

MLTPs, derived as the inverse Fourier Transform of the Zernike polynomials, are the theoretically most sensitive patterns to lens aberrations. As well as input to the pattern matcher, MLTPs can also be etched onto a mask to function as aberration monitors.  However, MLTPs are inherently very costly for mask manufacturing, due to round edges and touching phases.  An automated method of monitor modification is presented, allowing the monitors to pass DRC checks with only minimal modifications.

Use of the pattern matcher on pre-OPC layouts admits the identification of problematic hot-spots earlier in the design flow.  Several studies are presented on the effects of different OPC algorithms on match factors.  In most cases, match factors do not vary significantly between the pre-OPC layout and the post-OPC layout, and the pre-OPC match factor is a good indicator for the sensitivity of the post-OPC layout area.  However, in some circumstances, especially when SRAFs are present, the pre- and post-OPC match factors can vary by a larger amount.  As a consequence, pattern matching should be used on post-OPC layouts to check for any created sensitivities.

Extensions of the pattern matcher are presented for high-NA and polarization vulnerabilities.  This involves the generation of three to five match patterns, with a vulnerability score being calculated as a weighted sum of the match factors.  The vulnerability score is found to be good predictor of vulnerability to high-NA and polarization vector effects.  These effects are significant, causing intensity changes of 40% or 10% respectively for the on-axis case, and 8% for the off-axis case.

The accuracy of the pattern matcher is evaluated, and improved.  A method for predicting edge movement through coma, rather than just change in intensity, takes the image slope into account and improves the R<sup>2</sup> from 0.73 to 0.95.  The pattern matching model for defocus is improved with a quadratic model, using both the Optical Path Difference (<i>OPD</i>) and <i>OPD<sup>2</sup></i>, rather than just the linear term.  Using the three match patterns, prediction of the change in intensity through focus improves from completely non-predictive to an R<sup>2</sup> value of 0.92.  Results show that the Z<sub>3</sub> pattern and a combined Z<sub>0</sub> and Z<sub>8</sub>,  pattern predict change in intensity through defocus at line ends with an R<sup>2</sup> of 0.96.  These results are of great importance, as defocus is not a small aberration, and the ability to find defocus-induced hot-spots is of practical interest.

Double patterning is identified as an emerging technique that benefits from the application of pattern matching.  A process flow is presented showing that pattern matching can add value both within the double patterning decomposition algorithm, and also on the post-decomposition layout.  An example is shown where the introduction of a new split reduces the match factor by to 55%.  When splitting an H-structure, a number of different splits are scanned by the pattern matcher, and the split with the lowest intensity change through defocus is correctly identified.  Several examples show that the pattern matcher can quickly provide a measure of focus sensitivity during the layout decomposition process.},
}

EndNote citation:

%0 Thesis
%A Rubinstein, Juliet Alison 
%T Pattern Matching for Advanced Lithographic Technologies
%I EECS Department, University of California, Berkeley
%D 2010
%8 May 13
%@ UCB/EECS-2010-72
%U http://www2.eecs.berkeley.edu/Pubs/TechRpts/2010/EECS-2010-72.html
%F Rubinstein:EECS-2010-72