Marshal Miller

EECS Department, University of California, Berkeley

Technical Report No. UCB/EECS-2010-160

December 16, 2010

http://www2.eecs.berkeley.edu/Pubs/TechRpts/2010/EECS-2010-160.pdf

This dissertation presents a framework for modeling transmission effects due to three-dimensional mask topography in optical lithography from solving Maxwell's equations using rigorous simulation through fast-CAD for full chip level aerial image quality characterization in optical projection printing. As the semiconductor industry advances to the 22nm node where features are sub-wavelength, lithography imaging must be accurate to the nanometer. Non-ideal transmission caused by scattering off of mask edges has become an increasingly important source of inaccuracies in lithography modeling. Here mask edge effects are treated in two modules: modeling the near field scattering phenomena and then moving that information into fast-CAD first cut accurate simulation. Phase errors induced by mask edges lead to an asymmetric behavior through focus, which lead to significant loss in the process window. For even conservative imaging scenarios up to 40% of the focus latitude is lost. An experimental approach is presented, which uses gratings to characterize the polarization dependent magnitude of these errors as might be utilized in an inexpensive mask monitoring apparatus. A second simulation based method directly analyzes near field behavior with simulation, providing more accurate phase information as well as guidelines for edge-to-edge cross-talk. A MoSi attenuating 180 degree phase shift mask is shown to have boundary layer values of about 20 nm (1/10 wavelengths) in mask dimensions even for high off-axis illumination. Non-attenuating chromeless masks and complicated mask stacks such as TaSiO2 showed significant electromagnetic errors as high as 1/4 wavelengths. A study of a hypothetical thin mask showed that the phase effects are inherent to the use of neighboring phase wells. The most significant contribution of this dissertation is the development of Source-Pupil Kernel Convolution with Pattern Matching (SP-KCPM) that connects the information gained from boundary layer modeling to fast-CAD pattern matching tools, achieving a 10^4 speedup compared to conventional imaging. Full complex interactions are included, and along with a pupil-based framework enables more general imaging by including additional phenomena such as defocus, Zernike aberrations, measured aberrations, and potentially resist and polarization effects. Proximity effects between features are also accounted for, removing the need for a prior image calculation or restrictions to a specific image contour. A new coherent source model combined with source splitting is used to generalize the aerial image quality assessment to distributed off-axis sources utilized in advanced resolution enhancement techniques. This distributed source-pupil based convolution method has guaranteed accuracy well beyond that historically reported for pattern matching methods at full chip speeds, thus enabling many new applications. For dipole, annular, quad, and pixelated optimized sources, R^2 correlation is shown to be above 0.99. Additionally, effects of defocus, Zernike aberrations, background aberrations, and asymmetric sources have all been shown to be accurate. SP-KCPM was tested on highly pixelated sources used in source-mask-optimization, and accuracy of R^2 = 0.99 was achieved on general layouts by splitting the source into 12 regions. This capability is used to demonstrate the ability to make decisions between source distributions and mask blanks. Hotspot detection is used to quickly make decisions between sources or mask types by assessing the impact an optimized source solution over a larger non-optimized layout region. Real time tracking of mask changes opens the door for SP-KCPM to be used for optimization techniques and optical proximity correction. SP-KCPM is shown to be a general tool, useful wherever fast imaging is at a premium with applicability in many forms of optical imaging such as inspection and character recognition, in addition to standard projection printing.

Advisors: Andrew R. Neureuther


BibTeX citation:

@phdthesis{Miller:EECS-2010-160,
    Author= {Miller, Marshal},
    Title= {Mask Edge Effects in Optical Lithography and Chip Level Modeling Methods},
    School= {EECS Department, University of California, Berkeley},
    Year= {2010},
    Month= {Dec},
    Url= {http://www2.eecs.berkeley.edu/Pubs/TechRpts/2010/EECS-2010-160.html},
    Number= {UCB/EECS-2010-160},
    Abstract= {This dissertation presents a framework for modeling transmission effects due to three-dimensional mask topography in optical lithography from solving Maxwell's equations using rigorous simulation through fast-CAD for full chip level aerial image quality characterization in optical projection printing. As the semiconductor industry advances to the 22nm  node where features are sub-wavelength, lithography imaging must be accurate to the nanometer. Non-ideal transmission caused by scattering off of mask edges has become an increasingly important source of inaccuracies in lithography modeling. Here mask edge effects are treated in two modules: modeling the near field scattering phenomena and then moving that information into fast-CAD first cut accurate simulation.
Phase errors induced by mask edges lead to an asymmetric behavior through focus, which lead to significant loss in the process window. For even conservative imaging scenarios up to 40% of the focus latitude is lost. An experimental approach is presented, which uses gratings to characterize the polarization dependent magnitude of these errors as might be utilized in an inexpensive mask monitoring apparatus. A second simulation based method directly analyzes near field behavior with simulation, providing more accurate phase information as well as guidelines for edge-to-edge cross-talk. A MoSi attenuating 180 degree phase shift mask is shown to have boundary layer values of about 20 nm (1/10 wavelengths) in mask dimensions even for high off-axis illumination. Non-attenuating chromeless masks and complicated mask stacks such as TaSiO2 showed significant electromagnetic errors as high as 1/4 wavelengths. A study of a hypothetical thin mask showed that the phase effects are inherent to the use of neighboring phase wells.
The most significant contribution of this dissertation is the development of Source-Pupil Kernel Convolution with Pattern Matching (SP-KCPM) that connects the information gained from boundary layer modeling to fast-CAD pattern matching tools, achieving a 10^4 speedup compared to conventional imaging. Full complex interactions are included, and along with a pupil-based framework enables more general imaging by including additional phenomena such as defocus, Zernike aberrations, measured aberrations, and potentially resist and polarization effects. Proximity effects between features are also accounted for, removing the need for a prior image calculation or restrictions to a specific image contour. A new coherent source model combined with source splitting is used to generalize the aerial image quality assessment to distributed off-axis sources utilized in advanced resolution enhancement techniques.
This distributed source-pupil based convolution method has guaranteed accuracy well beyond that historically reported for pattern matching methods at full chip speeds, thus enabling many new applications. For dipole, annular, quad, and pixelated optimized sources, R^2 correlation is shown to be above 0.99. Additionally, effects of defocus, Zernike aberrations, background aberrations, and asymmetric sources have all been shown to be accurate.
SP-KCPM was tested on highly pixelated sources used in source-mask-optimization, and accuracy of R^2 = 0.99 was achieved on general layouts by splitting the source into 12 regions. This capability is used to demonstrate the ability to make decisions between source distributions and mask blanks. Hotspot detection is used to quickly make decisions between sources or mask types by assessing the impact an optimized source solution over a larger non-optimized layout region. Real time tracking of mask changes opens the door for SP-KCPM to be used for optimization techniques and optical proximity correction. SP-KCPM is shown to be a general tool, useful wherever fast imaging is at a premium with applicability in many forms of optical imaging such as inspection and character recognition, in addition to standard projection printing.},
}

EndNote citation:

%0 Thesis
%A Miller, Marshal 
%T Mask Edge Effects in Optical Lithography and Chip Level Modeling Methods
%I EECS Department, University of California, Berkeley
%D 2010
%8 December 16
%@ UCB/EECS-2010-160
%U http://www2.eecs.berkeley.edu/Pubs/TechRpts/2010/EECS-2010-160.html
%F Miller:EECS-2010-160