Simulation-Based Testing, Validation, and Training with Probabilistic Programming
Edward Kim [2023]

Learning Transferable Representations across Domains
Xiangyu Yue [2022]

The Algebra of Contracts
Inigo Incer [2022]

Incipient Anomaly Detection with Ensemble Learning
Baihong Jin [2020]

Oracle-Guided Design and Analysis of Learning-Based Cyber-Physical Systems
Shromona Ghosh [2019]

A Platform-Based Approach to Verification and Synthesis of Linear Temporal Logic Specifications
Antonio Iannopollo [2018]

Compositional Design of Cyber-Physical Systems Using Contracts
Pierluigi Nuzzo [2015]

From MetroII to Metronomy, Designing Contract-based Function-Architecture Co-simulation Framework for Timing Verification of Cyber-Physical Systems
Liangpeng Guo [2015]

Security Mechanisms and Security-Aware Mapping for Real-Time Distributed Embedded Systems
Chung-Wei Lin [2015]

Formal Techniques for the Verification and Optimal Control of Probabilistic Systems in the Presence of Modeling Uncertainties
Alberto Puggelli [2014]

Software Synthesis for Distributed Embedded Systems
Yang Yang [2012]

A Methodology and Tool Support for the Design and Evaluation of Fault Tolerant, Distributed Embedded Systems
Mark Lee McKelvin Jr [2011]

Compositional Design of Analog Systems Using Contracts
Xuening Sun [2011]

Architectural Synthesis Techniques for Distributed Automotive System
Wei Zheng [2009]

A Hierarchical Coordination Language for Reliable Real-Time Tasks
Arkadeb Ghosal [2008]

A Platform-Based Approach to Communication Synthesis for Embedded Systems
Alessandro Pinto [2008]

A Platform-Based Approach to Low-Power Receiver Design
Yanmei Li [2008]

Optimizing Mapping in System Level Design
Qi Zhu [2008]

Probabilistic Timing Analysis of Distributed Real-time Automotive Systems
Haibo Zeng [2008]

Single and Multi-CPU Performance Modeling for Embedded Systems
Trevor Conrad Meyerowitz [2008]

Synchronous Reactive Communication: Generalization, Implementation, and Optimization
Guoqiang Wang [2008]

A Design Flow for the Development, Characterization, and Refinement of System Level Architectural Services
Douglas Michael Densmore [2007]

Automated Mapping for Heterogeneous Multiprocessor Embedded Systems
Abhijit Davare [2007]

Composing and Validating Orthogonal Concerns and Heterogeneous Models
Guang Yang [2007]

Platform Based Design for Wireless Sensor Networks
Alvise Bonivento [2007]

Compile-Time Schedulability Analysis of Communicating Concurrent Programs
Cong Liu [2006]

Ensuring Data Integrity in Sensor-Based Networked Systems
Farinaz Koushanfar [2005]

Platform-Based Design for Wireless Embedded Systems
Rong Chen [2005]

System Level Mixed Signal Design with Analog Platforms
Fernando De Bernardinis [2005]

Design of Safety-Critical Applications, A Synthesis Approach
Claudio Pinello [2004]

Latency-Insensitive Design
Luca Carloni [2004]

Semantic Foundations for Heterogeneous Systems
Roberto Passerone [2004]

Simulation and Modeling Techniques for Signal Integrity and Electromagnetic Interference on High Frequency Electronic Systems
Luca Daniel [2003]

Platform-Based Design Methodologies for Communication Networks
Marco Sgroi [2002]

Efficient Conformational Analysis of Small Molecules
Ken D. Yamaguchi [2001]

Formal Methods for Embedded System Design
Harry C. C. Hsieh [2000]

Function/Architecture Optimization and Co-Design of Embedded Systems
Bassam Tabbara [2000]

Layout Aware Synthesis
Wilsin Gosti [2000]

Qualitative Analysis, Model Checking, and Controller Synthesis of Hybrid Systems
Mireille E. Broucke [2000]

Design Methodologies for RF and Mixed-Signal Systems
Iason Vassiliou [1999]

Simulation and Modelling Techniques for Noise in Radio Frequency Integrated Circuits
Amit Mehrotra [1999]

BDD Partitioning for Formal Verification and Synthesis of Digital Systems
Amit Narayan [1998]

Analysis and Simulation of Noise in Nonlinear Electronic Circuits and Systems
Alper Demir [1997]

The Implications of Deep Sub-Micron Technology on the Design of High Performance Digital VLSI Systems
Desmond A. Kirkpatrick [1997]

Formal Analysis of Synchronous Circuits
Thomas R. Shiple [1996]

High Performance Verification Algorithms
Jagesh V. Sanghavi [1996]

Predicting ULSI Device Behavior Using Parallel Monte Carlo Methods
Henry S.-W. Sheng [1996]

Testing and Characterization of Analog Systems Using Behavioral Models and Optimal Experimental Design
Eric J. Felt [1996]

Constraint-Driven Analysis and Synthesis of High-Performance Analog IC Layout
Edoardo Charbon [1995]

Encoding Problems in Logic Synthesis
Tiziano Villa [1995]

A Top-Down, Constraint-Driven Design Methodology for Analog Integrated Circuits
Henry C. Chang [1994]

Applications of Parallel Processors to Technology Computer-Aided Design Problems
Eric R. Tomacruz [1994]

Inductive Learning by Selection of Minimal Complexity Representations
Arlindo M. Limede de Oliveira [1994]

Iterative Methods for Formal Verification of Digital Systems
Felice Balarin [1994]

Analog Behavioral Simulation and Modeling
Edward W. Y. Liu [1993]

Communication Based Logic Partitioning
John M. Beardslee [1993]

Logic Synthesis for Field-Programmable Gate Arrays
Rajeev Murgai [1993]

Timing Issues in Sequential Circuits
Narendra V. Shenoy [1993]

Fault-Driven Analog Testing
Linda S. Milor [1992]

Performance Optimization of Digital Circuits
Kanwar J. Singh [1992]

Performance-Constrained Physical Design of Analog and Mixed Analog/Digital Circuits
Umakanta Choudhury [1992]

Synthesis and Testing of Bounded Wire Delay Asynchronous Circuits from Signal Transition Graphs
Luciano Lavagno [1992]

Automated Design Management Using Traces
Andrea Casotto [1991]

Theory and Practice of Simulated Annealing on Special Energy Landscapes
Gregory B. Sorkin [1991]

Algorithms for Multilevel Logic Optimization
Albert R. R. Wang [1989]

Logic Synthesis for VLSI Design
Richard L. Rudell [1989]

Simulated Annealing: Theory and Applications to Layout Problems
Fabio I. Romeo [1989]

Steady-State Methods for Simulating Analog Circuits
Kenneth S. Kundert [1989]

Techniques for Logic Validation of Digital Circuits
Hi-Keung Ma [1989]

Theoretical Aspect of Relaxation-Based and Nonlinear Frequency Domain Circuit Simulation
Tammy T.-C. Huang [1989]

Automatic Synthesis and Layout of Switched-Capacitor Filters
Hormoz Yaghutiel [1988]

Macromodelling for the Simulation of Large Scale Analog Integrated Circuits
Giorgio Casinovi [1988]

Performance Optimization of Integrated Circuits
Jyuo-Min Shyu [1988]

Models for a Comprehensive Methodology for Parameter Selection and Discrete Decision-Making in the Design of Integrated Circuits
David C. Riley [1988]

Placement and Global Routing of Integrated Circuits Using Simulated Annealing
Carl M. Sechen [1987]

Two Dimensional Routing and Compaction in Computer-Aided Design of Integrated Circuits
Hyungchul Shin [1987]

A Special-Purpose Architecture and Parallel Algorithms on a Multiprocessor System for the Solution of Large Scale Linear Systems of Equations
Howard F.-H. Ko [1986]

Logic Verification and Test Generation for VLSI Circuits
Ruey-Sing Wei [1986]

Multirate Integration Properties of Waveform Relaxation with Application to Circuit Simulation and Parallel Computation
Jacob K. White [1985]

Computer-Aided Synthesis of PLA-Based Systems
Giovanni De Micheli [1984]

DELIGHT: An Interactive System for Optimization-Based Engineering Design
William T. Nye [1983]

Applications of Differential Sensitivity to Large-Perturbation Problems -- Diagnosability and Model Simplification
V. Visvanathan [1982]

The Waveform Relaxation Method for Time Domain Analysis of Large Scale Integrated Circuits: Theory and Applications
Ekachai Lelarasmee [1982]